This webpage may contain errors. Please do NOT trust the following list, although the maintainer has tried his best to correct the mistakes. If you find an error, please contact the maintainer via email at “contact [at] ishikawa.cc”.

Highly contributed researchers in 2000

Fumiyuki Adachi (5) / Hideki Imai (5)

Tsutomu Yoshihara (4)

Robust photonic transport network implementation with optical cross-connect systems

Authors: Satoru Okamoto, Masafumi Koga, Hiro Suzuki, Kenji Kawai

Performance evaluation of the architecture for end-to-end quality-of-service provisioning

Authors: Katsuyoshi Iida, Kenji Kawahara, Tetsuya Takine, Yuji Oie

Active distributed management for IP networks

Authors: Ryutaro Kawamura and Rolf Stadler

Intelligent transportation systems: the role of third-generation mobile radio networks

Authors: Oreste Andrisano, Roberto Verdone, Masao Nakagawa

A scalable agent-based network measurement infrastructure

Authors: Yulia Indrayani Wijata, Douglas Niehaus, Victor S. Frost

Video transcoding proxy for 3Gwireless mobile Internet access

Authors: Takayuki Warabino, Shinji Ota, Daisuke Morikawa, Masayoshi Ohashi, Hajime Nakamura, Hideaki Iwashita, Fumio Watanabe

The network paradigm of the 21st century and its key technologies

Authors: Akio Moridera, Kazuo Murano, Yukou Mochida

Scalable multi-QoS IP+ATM switch router architecture

Authors: Kohei Shiomoto, Masanori Uga, Masaaki Omotani, Shigeki Shimizu, Takeshi Chimaru

This list is based on the data extracted from dblp: IEEE Communications Magazine

TINA: Its Achievements and its Future Directions

Authors: Hendrik Berndt, Takeo Hamada, Peter Graubmann

This list is based on the data extracted from dblp: IEEE Communications Surv. Tutorials

Guest editorial spread spectrum for global communications II

Authors: Ian Oppermann, Pieter van Rooyen, Ryuji Kohno

Secure Border Gateway Protocol (S-BGP)

Authors: Stephen T. Kent, Charles Lynn, Karen Seo

Guest editorial recent advances in network management and operations

Authors: Thomas M. Chen, Roch H. Glitho, Makoto Yoshida

Applying a 3-D-GUI to a distributed network management system

Authors: Naoki Watanabe, Yuminobu Igarashi, Miyoshi Hanaki

SSDT-site selection diversity transmission power control for CDMA forward link

Authors: Hiroshi Furukawa, Kojiro Harnage, Akihisa Ushirokawa

Experiments on coherent adaptive antenna array diversity for wideband DS-CDMA mobile radio

Authors: Shinya Tanaka, Atsushi Harada, Mamoru Sawahashi, Fumiyuki Adachi

Guest editorial wideband CDMA I

Authors: Jiangzhou Wang, Fumiyuki Adachi, Paul W. Baier, James S. Lehnert, Wayne E. Stark, Michael B. Pursley

This list is based on the data extracted from dblp: IEEE J. Sel. Areas Communications

A distributed selector IC using GaAs MESFET's with multilayer-interconnection structure

Authors: Koichi Murata, Taiichi Otsuji, Yuhki Imai, Suehiro Sugitani

A 15-mW, 155-Mb/s CMOS burst-mode laser driver with automatic power control and end-of-life detection

Authors: Eduard Sckinger, Yusuke Ota, Thaddeus J. Gabara, Wilhelm C. Fischer

Synonym hit RAM - a 500-MHz CMOS SRAM macro with 576-bit parallel comparison and parity check functions

Authors: Takeshi Suzuki, Keiichi Higeta, Yasuhiro Fujimura, Kazumasa Ando, Hiroaki Nambu, Ryo Yamagata, Atsuo Hotta, Kunihiko Yamaguchi

A 250-Mb/s/pin, 1-Gb double-data-rate SDRAM with a bidirectional delay and an interbank shared redundancy scheme

Authors: Yasuhiro Takai, Mamoru Fujita, Kyoichi Nagata, Satoshi Isa, Shigeyuki Nakazawa, Atsunori Hirobe, Hiroaki Ohkubo, Masato Sakao, Shinichi Horiba, Tadashi Fukase, Yoshihiro Takaishi, Makoto Matsuo, Masahiro Komuro, Tetsuya Uchida, Takashi Sakoh, Kanta Saino, Shirou Uchiyama, Yuichi Takada, Junichi Sekine, Nobuko Nakanishi, Takeshi Oikawa, Masahiko Igeta, Hiroyoshi Tanabe, Hidenobu Miyamoto, Takeo Hashimoto, Hiromu Yamaguchi, Kuniaki Koyama, Yasuo Kobayashi, Takashi Okuda

A 0.5-μm, 3-V 1T1C, 1-Mbit FRAM with a variable reference bit-line voltage scheme using a fatigue-free reference capacitor

Authors: Ryu Ogiwara, Sumio Tanaka, Yasuo Itoh, Tadashi Miyakawa, Yoshiaki Takeuchi, Sumiko Mano Doumae, Hiroyuki Takenaka, Iwao Kunishima, Susumu Shuto, Osamu Hidaka, Sumito Ohtsuki, Shin'ichi Tanaka

Power reduction techniques for a 1-Mb ECL-CMOS SRAM with an access time of 550 ps and an operating frequency of 900 MHz

Authors: Kenichi Ohhata, Fumihiko Arakawa, Takeshi Kusunoki, Hiroaki Nambu, Kazuo Kanetani, Kaname Yamasaki, Keiichi Higeta, Masami Usami, Masahiko Nishiyama, Kunihiko Yamaguchi, Noriyuki Homma, Atsuo Hotta

A 7F2 cell and bitline architecture featuring tilted array devices and penalty-free vertical BL twists for 4-Gb DRAMs

Authors: Heinz Hoenigschmid, Alexander Frey, John K. DeBrosse, Toshiaki Kirihata, Gerhard Mueller, Daniel W. Storaska, Gabriel Daniel, Gerd Frankowsky, Kevin P. Guay, David R. Hanson, Louis Lu-Chen Hsu, Brian Ji, Dmitry G. Netis, Steve Panaroni, Carl Radens, Armin M. Reith, Hartmud Terletzki, Oliver Weinfurtner, Johann Alsmeier, Werner Weber, Matthew R. Wordeman

A novel sensor cell architecture and sensing circuit scheme for capacitive fingerprint sensors

Authors: Hiroki Morimura, Satoshi Shigematsu, Katsuyuki Machida

A 3.6-Gb/s 340-mW 16: 1 pipe-lined multiplexer using 0.18 μm SOI-CMOS technology

Authors: Toru Nakura, Kimio Ueda, Kazuo Kubo, Yoshio Matsuda, Koichiro Mashiko, Tsutomu Yoshihara

Dynamically shift-switched dataline redundancy suitable for DRAM macro with wide data bus

Authors: Toshimasa Namekawa, Shinji Miyano, Ryo Fukuda, Ryo Haga, Osamu Wada, Hironori Banba, Satoru Takeda, Kazuhiro Suda, Kenichiro Mimoto, Satoshi Yamaguchi, Tsutomu Ohkubo, Hiroshi Takato, Kenji Numata

A 144-Mb, eight-level NAND flash memory with optimized pulsewidth programming

Authors: Hiromi Nobukata, Shunsuke Takagi, Keizo Hiraga, Takeshi Ohgishi, Masaru Miyashita, Kazuto Kamimura, Shinji Hiramatsu, Kiyohisa Sakai, Takahiro Ishida, Hideki Arakawa, Masahiko Itoh, Ihachi Naiki, Masanori Noda

Guest editorial

Authors: Masao Taguchi and David B. Scott

A source-line programming scheme for low-voltage operation NAND flash memories

Authors: Ken Takeuchi, Shinji Satoh, Ken-ichi Imamiya, Koji Sakui

A 2-Mb/s 256-state 10-mW rate-1/3 Viterbi decoder

Authors: Yun-Nan Chang, Hiroshi Suzuki, Keshab K. Parhi

A design for high noise rejection in a pseudodifferential preamplifier for hard disk drives

Authors: Indumini Ranmuthu, Paul M. Emerson, Ken Maggio, Hong Jiang, Ashish Manjekar, Bryan E. Bloodworth, Mark Guastaferro

2.44-GFLOPS 300-MHz floating-point vector-processing unit for high-performance 3D graphics computing

Authors: Nobuhiro Ide, Masashi Hirano, Yukio Endo, Shin-ichi Yoshioka, Hiroaki Murakami, Atsushi Kunimatsu, Toshinori Sato, Takayuki Kamei, Toyoshi Okada, Masakazu Suzuoki

14-bit 2.2-MS/s sigma-delta ADC's

Authors: James C. Morizio, Michael Hoke, Taskin Koak, Clark Geddie, Chris Hughes, John Perry, Srinadh Madhavapeddi, Michael H. Hood, George Lynch, Harufusa Kondoh, Toshio Kumamoto, Takashi Okuda, Hiroshi Noda, Masahiko Ishiwaki, Takahiro Miki, Masao Nakaya

A multibit delta-sigma audio DAC with 120-dB dynamic range

Authors: Ichiro Fujimori, Akihiko Nogi, Tetsuro Sugimoto

A precharged-capacitor-assisted sensing (PCAS) scheme with novel level controllers for low-power DRAMs

Authors: Takashi Kono, Takeshi Hamamoto, Katsuyoshi Mitsui, Yasuhiro Konishi, Tsutomu Yoshihara, Hideyuki Ozaki

A 550-ps access 900-MHz 1-Mb ECL-CMOS SRAM

Authors: Hiroaki Nambu, Kazuo Kanetani, Kaname Yamasaki, Keiichi Higeta, Masami Usami, Masahiko Nishiyama, Kenichi Ohhata, Fumihiko Arakawa, Takeshi Kusunoki, Kunihiko Yamaguchi, Atsuo Hotta, Noriyuki Homma

A 12-ns 8-Mbyte DRAM secondary cache for a 64-bit microprocessor

Authors: Takashi Okuda, Isao Naritake, Tadahiko Sugibayashi, Yuji Nakajima, Tatsunori Murotani

A 3.2-V operation single-chip dual-band AlGaAs/GaAs HBT MMIC power amplifier with active feedback circuit technique

Authors: Kazuya Yamamoto, Satoshi Suzuki, Kazutomi Mori, Tomoyuki Asada, Toshio Okuda, Akira Inoue, Takeshi Miura, Kenichiro Chomei, Ryo Hattori, Masahide Yamanouchi, Teruyuki Shimura

High-performance embedded SOI DRAM architecture for the low-power supply

Authors: Tadaaki Yamauchi, Fukashi Morishita, Shigenobu Maeda, Kazutami Arimoto, Kazuyasu Fujishima, Hideyuki Ozaki, Tsutomu Yoshihara

A CW 4-W Ka-band power amplifier utilizing MMIC multichip technology

Authors: Kohji Matsunaga, Ikuo Miura, Naotaka Iwata

1-V 100-MHz embedded SRAM techniques for battery-operated MTCMOS/SIMOX ASICs

Authors: Nobutaro Shibata, Hiroki Morimura, Mitsuru Harada

Design of a sense circuit for low-voltage flash memories

Authors: Toru Tanzawa, Yoshinori Takano, Tadayuki Taura, Shigeru Atsumi

An 8-ns random cycle embedded RAM macro with dual-port interleaved DRAM architecture (D2/RAM)

Authors: Yasuhiro Agata, Kenji Motomochi, Yoshifumi Fukushima, Masanori Shirahama, Marefusa Kurumada, Naoki Kuroda, Hiroyuki Sadakata, Kohtaro Hayashi, Toshio Yamada, Kazunari Takahashi, Tsutomu Fujita

A channel-erasing 1.8-V-only 32-Mb NOR flash EEPROM with a bitline direct sensing scheme

Authors: Shigeru Atsumi, Akira Umezawa, Tooru Tanzawa, Tadayuki Taura, Hitoshi Shiga, Yoshinori Takano, Takeshi Miyaba, Michiharu Matsui, Hiroshi Watanabe, Kazuaki Isobe, Shota Kitamura, Seiji Yamada, Masanobu Saito, Seiichi Mori, Toshiharu Watanabe

A 20-Gb/s CMOS multichannel transmitter and receiver chip set for ultra-high-resolution digital displays

Authors: Muneo Fukaishi, Kazuyuki Nakamura, Hideki Heiuchi, Yoshinori Hirota, Yoetsu Nakazawa, Hidenori Ikeno, Hiroshi Hayama, Michio Yotsuyanagi

A third-generation SPARC V9 64-b microprocessor

Authors: Raymond A. Heald, Kathirgamar Aingaran, Chaim Amir, Michael Ang, Michael Boland, Pankaj Dixit, Gary Gouldsberry, Dale Greenley, Joel Grinberg, Jason M. Hart, Tim Horel, Wen-Jay Hsu, James M. Kaku, Chin Kim, Song Kim, Fabian Klass, Hang Kwan, Gary Lauterbach, Roger Lo, Hugh McIntyre, Anup Mehta, David Murata, Sophie Nguyen, Yet-Ping Pai, Subeer Patel, Ken Shin, Kenway Tam, Sai Vishwanthaiah, John Wu, Gin Yee, Eileen You

A 0.18-μm 256-Mb DDR-SDRAM with low-cost post-mold tuning method for DLL replica

Authors: Shigehiro Kuge, Tetsuo Kato, Kiyohiro Furutani, Shigeru Kikuda, Katsuyoshi Mitsui, Takeshi Hamamoto, Jun Setogawa, Kei Hamade, Yuichiro Komiya, Satoshi Kawasaki, Takashi Kono, Teruhiko Amano, Takashi Kubo, Masaru Haraguchi, Yoshito Nakaoka, Mihoko Akiyama, Yasuhiro Konishi, Hideyuki Ozaki, Tsutomu Yoshihara

A parallel vector-quantization processor eliminating redundant calculations for real-time motion picture compression

Authors: Toshiyuki Nozawa, Masahiro Konda, Masanori Fujibayashi, Makoto Imai, Koji Kotani, Shigetoshi Sugawa, Tadahiro Ohmi

1-GHz fully pipelined 3.7-ns address access time 8 k×1024 embedded synchronous DRAM macro

Authors: Osamu Takahashi, Sang H. Dhong, Manabu Ohkubo, Shohji Onishi, Robert H. Dennard, Robert Hannon, Scott Crowder, Subramanian S. Iyer, Matthew R. Wordeman, Bijan Davari, William B. Weinberger, Naoaki Aoki

A 60-MHz 240-mW MPEG-4 videophone LSI with 16-Mb embedded DRAM

Authors: Masafumi Takahashi, Tsuyoshi Nishikawa, Mototsugu Hamada, Toshinari Takayanagi, Hideho Arakida, Noriaki Machida, Hideaki Yamamoto, Toshihide Fujiyoshi, Yoko Ohashi, Osamu Yamagishi, Tatsuo Samata, Atsushi Asano, Toshihiro Terazawa, Kenji Ohmori, Yoshinori Watanabe, Hiroki Nakamura, Shigenobu Minami, Tadahiro Kuroda, Tohru Furuyama

A 16-Mb 400-MHz loadless CMOS four-transistor SRAM macro

Authors: Koichi Takeda, Yoshiharu Aimoto, Noritsugu Nakamura, Hideo Toyoshima, Takahiro Iwasaki, Kenji Noda, Koujirou Matsui, Shinya Itoh, Sadaaki Masuoka, Tadahiko Horiuchi, Atsushi Nakagawa, Kenju Shimogawa, Hiroyuki Takahashi

A 2.4-GHz low-IF receiver for wideband WLAN in 6-μm CMOS-architecture and front-end

Authors: Farbod Behbahani, John C. Leete, Yoji Kishigami, Andreas Roithmeier, Koichi Hoshino, Asad A. Abidi

A 90-dB SNR 2.5-MHz output-rate ADC using cascaded multibit delta-sigma modulation at 8× oversampling ratio

Authors: Ichiro Fujimori, Lorenzo Longo, Armond Hairapetian, Kazushi Seiyama, Steve Kosic, Jun Cao, Shu-Lap Chan

A 256×256 CMOS differential passive pixel imager with FPN reduction techniques

Authors: Iliana L. Fujimori, Ching-Chun Wang, Charles G. Sodini

2-GHz RF front-end circuits in CMOS/SIMOX operating at an extremely low voltage of 0.5 V

Authors: Mitsuru Harada, Tsuneo Tsukahara, Junichi Kodate, Akihiro Yamagishi, Junzo Yamada

A 0.6-W 10-Gb/s SONET/SDH bit-error-rate monitoring LSI

Authors: Kenji Kawai and Haruhiko Ichino

A 3.3-V 12-b 50-MS/s A/D converter in 0.6-μm CMOS with over 80-dB SFDR

Authors: Hui Pan, Masahiro Segami, Michael Choi, Jing Cao, Asad A. Abidi

A 2-V CMOS cellular transceiver front-end

Authors: Michel S. J. Steyaert, Johan Janssens, Bram De Muer, Marc Borremans, Nobuyuki Itoh

A progressive scan CCD image sensor for DSC applications

Authors: Tetsuo Yamada, Katsumi Ikeda, Yong-Gwan Kim, Hideki Wakoh, Tetsuo Toma, Tomohiro Sakamoto, Kazuaki Ogawa, Eiichi Okamoto, Kazuyuki Masukane, Kazuya Oda, Masafumi Inuiya

This list is based on the data extracted from dblp: IEEE J. Solid State Circuits

Web-top robotics

Authors: Hirohisa Hirukawa and Isao Hara

Force reflection for ground control of Space robots

Authors: Luis F. Pen, Kohtaro Matsumoto, Sachiko Wakabayashi

This list is based on the data extracted from dblp: IEEE Robotics Autom. Magazine

Simple LP-type criteria for positively invariant polyhedral sets

Authors: Kazunobu Yoshida, Hisashi Kawabe, Yukio Nishimura

New results for strict positive realness and feedback stability

Authors: Ichijyo Hodaka, Noboru Sakamoto, Masayuki Suzuki

Gaussian filters for nonlinear filtering problems

Authors: Kazufumi Ito and Kaiqi Xiong

Identification of Riccati dynamics under perspective and orthographic observations

Authors: Bijoy K. Ghosh, Hiroshi Inaba, Satoru Takahashi

On impulsive control of a periodically forced chaotic pendulum system

Authors: Zhi-Hong Guan, Guanrong Chen, Tetsushi Ueta

Characterization of well-posedness of piecewise-linear systems

Authors: Jun-ichi Imura and Arjan van der Schaft

Braess-like paradoxes in distributed computer systems

Authors: Hisao Kameda, Eitan Altman, Takayuki Kozawa, Yoshihisa Hosokawa

When is (D, G)-scaling both necessary and sufficient

Authors: Gjerrit Meinsma, Tetsuya Iwasaki, Minyue Fu

A note on the stability of delta-operator-induced systems

Authors: Takehiro Mori and Hideki Kokame

Comments regarding "Stability margin evaluation for uncertain linear systems" [and counterexample]

Authors: Tatsushi Ooba, Yasuyuki Funahashi, Yau-Tarng Juang, Chi-Lee Chang

DC optimization approach to robust controls: the optimal scaling value problem

Authors: Hoang Duong Tuan, Shigeyuki Hosoe, Hoang Tuy

Remarks on a global optimization algorithm for H∞ control [and reply]

Authors: Hoang Duong Tuan, Yuji Yamada, Shinji Hara

Authors' reply

Authors: Yuji Yamada and Shinji Hara

This list is based on the data extracted from dblp: IEEE Transactions Autom. Control.

Detection of characteristic waves of sleep EEG by neural network analysis

Authors: Takamasa Shimada, Tsuyoshi Shiina, Yoichi Saito

Extraction of event-related signals from multichannel bioelectrical measurements

Authors: Allan Kardec Barros, Ricardo Vigrio, Veikko Jousmki, Noboru Ohnishi

Image restoration in chirp-pulse microwave CT (CP-MCT)

Authors: Mario Bertero, Michio Miyakawa, Patrizia Boccacci, Franco Conte, Kentaroh Orikasa, Masatoshi Furutani

Special topic section on advances in statistical signal processing for medicine

Authors: Jos C. Prncipe, Sergio Cerutti, Shun-ichi Amari

Estimating neural sources from each time-frequency component of magnetoencephalographic data

Authors: Kensuke Sekihara, Srikantan S. Nagarajan, David Poeppel, Satoru Miyauchi, Norio Fujimaki, Hideaki Koizumi, Yasushi Miyashita

A clinically oriented video-based system for quantification of eyelid movements

Authors: Shinji Miyazaki, Akimasa Ishida, Atsushi Komatsuzaki

Nonlinear Markov process amplitude EEG model for nonlinear coupling interaction of spontaneous EEG

Authors: Ou Bai, Masatoshi Nakamura, Akio Ikeda, Hiroshi Shibasaki

Footprint-based personal recognition

Authors: Kazuki Nakajima, Yoshiki Mizukami, Kanya Tanaka, Toshiyo Tamura

This list is based on the data extracted from dblp: IEEE Transactions Biomedical Eng.

A new flexible acquisition system of ray-space data for arbitrary objects

Authors: Toshiaki Fujii, Tadahiko Kimoto, Masayuki Tanimoto

Autostereoscopic 3-D video display using multiple light beams with scanning

Authors: Yoshihiro Kajiki, Hiroshi Yoshikawa, Toshio Honda

Guest editorial

Authors: King N. Ngan, Michael G. Strintzis, Masayuki Tanimoto, Yao Wang

A study on scanning methods for a field-sequential stereoscopic display

Authors: Makoto Okui, Fumio Okano, Ichiro Yuyama

A study on resolution and aliasing for multi-viewpoint image acquisition

Authors: Haruo Hoshino, Fumio Okano, Ichiro Yuyama

Focused object extraction with multiple cameras

Authors: Atsushi Marugame, Akio Yamada, Mutsumi Ohta

This list is based on the data extracted from dblp: IEEE Transactions Circuits Systems Video Technol.

Numerical methods for solving the optimal problem of contrast enhancement

Authors: Jian Yang, Yoshio Yamaguchi, Wolfgang-Martin Boerner, Shiming Lin

Derivation of vegetation isoline equations in red-NIR reflectance space

Authors: Hiroki Yoshioka, Alfredo R. Huete, Tomoaki Miura

Real-time VLBI system using ATM network

Authors: Hitoshi Kiuchi, Michito Imae, Tetsuro Kondo, Mamoru Sekido, Shin-ichi Hama, Takashi Hoshino, Hisao Uose, Takashi Yamamoto

Evaluation of sensor calibration uncertainties on vegetation indices for MODIS

Authors: Tomoaki Miura, Alfredo R. Huete, Hiroki Yoshioka

Spin motion of the AJISAI satellite derived from spectral analysis of laser ranging data

Authors: Toshimichi Otsubo, Jun Amagai, Hiroo Kunimori, Mark Elphick

Slope corrections to normalized RCS using SAR interferometry

Authors: Masanobu Shimada and Haruto Hirosawa

Super-resolution of coherent targets by a directional borehole radar

Authors: Satoshi Ebihara, Motoyuki Sato, Hiroaki Niitsuma

An evaluation of the JPL TOPSAR for extracting tree heights

Authors: Yutaka Kobayashi, Kamal Sarabandi, Leland E. Pierce, M. Craig Dobson

This list is based on the data extracted from dblp: IEEE Transactions Geosci. Remote. Sens.

Graeco-Latin squares design for line detection in the presence of correlated noise

Authors: Gerard J. Genello, Julian F. Y. Cheung, Steven H. Billis, Yoshikazu Saito

On using the CAM concept for parametric curve extraction

Authors: Mahmoud Mribout, Takeshi Ogura, Mamoru Nakanishi

This list is based on the data extracted from dblp: IEEE Transactions Image Processing

MDR codes over Zk

Authors: Steven T. Dougherty and Keisuke Shiromoto

A relationship between linear complexity and kapa-error linear complexity

Authors: Kaoru Kurosawa, Fumio Sato, Takahiro Sakata, Wataru Kishimoto

On the AEP of word-valued sources

Authors: Mikihiko Nishiara and Hiroyoshi Morita

MDS secret-sharing scheme secure against cheaters

Authors: Koji Okada and Kaoru Kurosawa

There is no ternary [28, 6, 16] code

Authors: Noboru Hamada, Tor Helleseth, Halvard Martinsen, yvind Ytrehus

Multiple-access interference reduction for QS-CDMA systems with a novel class of polyphase sequences

Authors: Seong Ill Park, So Ryoung Park, Iickho Song, Naoki Suehiro

Strong converse and Stein's lemma in quantum hypothesis testing

Authors: Tomohiro Ogawa and Hiroshi Nagaoka

This list is based on the data extracted from dblp: IEEE Transactions Inf. Theory

Randomized Initialization Protocols for Ad Hoc Networks

Authors: Koji Nakano and Stephan Olariu

Scalable Hardware-Algorithms for Binary Prefix Sums

Authors: Rong Lin, Koji Nakano, Stephan Olariu, Maria Cristina Pinotti, James L. Schwing, Albert Y. Zomaya

This list is based on the data extracted from dblp: IEEE Transactions Parallel Distributed Systems

Spectral analysis of subband adaptive digital filters

Authors: Shuichi Ohno and Hideaki Sakai

On gradient adaptation with unit-norm constraints

Authors: Scott C. Douglas, Shun-ichi Amari, Sun-Yuan Kung

Universal maximally flat lowpass FIR systems

Authors: Saed Samadi, Akinori Nishihara, Hiroshi Iwakura

Generalized unequal length lapped orthogonal transform for subband image coding

Authors: Takayuki Nagai, Masaaki Ikehara, Masahide Kaneko, Akira Kurematsu

This list is based on the data extracted from dblp: IEEE Transactions Signal Processing

Speech visualization by integrating features for the hearing impaired

Authors: Akira Watanabe, Shingo Tomishige, Masahiro Nakatake

Speech enhancement based on the subspace method

Authors: Futoshi Asano, Satoru Hayamizu, Takeshi Yamada, Satoshi Nakamura

A minimax search algorithm for robust continuous speech recognition

Authors: Hui Jiang, Keikichi Hirose, Qiang Hue

This list is based on the data extracted from dblp: IEEE Transactions Speech Audio Processing

A new group demodulator for mobile communication systems

Authors: Tomoaki Kumagai and Kiyoshi Kobayashi

Multipath propagation model for line-of-sight street microcells in urban area

Authors: Shinichi Ichitsubo, Tatsuo Furuno, Tokio Taga, Ryoji Kawasaki

A shoulder-mounted planar antenna for mobile radio applications

Authors: Koichi Ogawa, Tomoki Uwano, Masao Takahashi

BER performance of OFDM-MDPSK system in frequency-selective Rician fading with diversity reception

Authors: Jun Lu, Tjeng Thiang Tjhung, Fumiyuki Adachi, Cheng Li Huang

Robust license-plate recognition method for passing vehicles under outside environment

Authors: Takashi Naito, Toshihiko Tsukada, Keiichi Yamada, Kazuhiro Kozuka, Shin Yamamoto

Advanced LOS path-loss model in microcellular mobile communications

Authors: Yasuhiro Oda, Koichi Tsunekawa, Masaharu Hata

This list is based on the data extracted from dblp: IEEE Transactions Veh. Technol.

Enhanced wireless access technologies and experiments for W-CDMA communications

Authors: Mamoru Sawahashi, Kenichi Higuchi, Shinya Tanaka, Fumiyuki Adachi

This list is based on the data extracted from dblp: IEEE Wirel. Communications

Optimal dynamic moblility management for PCS networks

Authors: Jie Li, Hisao Kameda, Keqin Li

This list is based on the data extracted from dblp: IEEE/ACM Transactions Networking

Reconfigurable optical interconnections for parallel computing

Authors: Neil McArdle, Makoto Naruse, Haruyoshi Toyoda, Yuji Kobayashi, Masatoshi Ishikawa

Optical interconnections for parallel and distributed computing

Authors: Takashi Yoshikawa and Hiroshi Matsuoka

Nanofabrication and atom manipulation by optical near-field and relevant quantum optical theory

Authors: Motoichi Ohtsu, Kiyoshi Kobayashi, Haruhiko Ito, Geun-Hyoung Lee

This list is based on the data extracted from dblp: Proc. IEEE